当前位置:范文大全 > 调查报告 > 【可编程实验报告】

【可编程实验报告】

发布时间: 2021-10-31 12:06:07

.

..

广东水利电力技术学院

实验报告

课 程 名 称 可编程逻辑器件

实验项目名称 8-3优先编码器

学 生 班 级 12电子2班

学 生 姓 名 冯耿斌

同组学生姓名 郑超 、黄碧霞

2013年 03 月

前言

课堂上,老师教我们实验的步骤,但有关实验的课程,理论课固然要有一定的基础,动手去实验它,亲手把那个看起来颇为复杂的东西给弄个明白,才能更加深刻的将知识印入脑中,有利加强学生的动手能力,同时能促进同组人的分工更为合理和团结精神的培养。

目录

实验的目的和要求

实验方案设计及其原理

硬件要求

设计步骤

源程序和下载结果

实验结果分析

实验收获和体验

实验的目的和要求

进一步熟悉EDA的VHDL的程序设计方法,熟练所学课程一些基本常用的软件,如此次的Quartus II,实操该软件的基本操作,学习掌握VHDL的描述方法,进一步掌握应用EDA常用工具进行组合逻辑电路的设计、分析、仿真等技巧。复习优先编码器的原理,学习编码器的设计实现方法,深入了解8-3优先编码器的特性等。

实验方案设计及其原理

本实验根据真值表用if-then 结构实现8-3优先编码器

输入

I7 I6 I5 I4 I3 I2 I1 I0

输出

Y2 Y1 Y0

1 X X X X X X X

0 1 X X X X X X

0 0 1 X X X X X

0 0 0 1 X X X X

0 0 0 0 1 X X X

0 0 0 0 0 1 X X

0 0 0 0 0 0 1 X

0 0 0 0 0 0 0 1

1 1 1

1 1 0

1 0 1

1 0 0

0 1 1

0 1 0

0 0 1

0 0 0

对照真值表,列出不同的逻辑状态,分情况依次输出与输入的对应关系。

优先编码器允许多个输入信号同时有效,当同时有几个输入有效时,将输出优先级高的那个输入所对应的二进制编码。

硬件要求

主芯片EPM240T100C5,8个开关、3个LED灯。

设计步骤

1按照Quartus II的适用步骤,参考8-3编码器的相关资料,利用Quartus II完成8-3编码器的文本输入和仿真等步骤,给出VHDL程序及仿真波形。

2下载到下载板进行验证,记录管脚设置。

源程序和下载结果

源程序:

library ieee;

use ieee.std_logic_1164.all;

entity yxbianma is

port(i:in std_logic_vector(7 downto 0);

y:out std_logic_vector(2 downto 0));

end yxbianma;

architecture one of yxbianma is

begin

process(i)

begin

if i(7)='1' then y<="111";

elsif i(6)='1' then y<="110";

elsif i(5)='1' then y<="101";

elsif i(4)='1' then y<="100";

elsif i(3)='1' then y<="011";

elsif i(2)='1' then y<="010";

elsif i(1)='1' then y<="001";

elsif i(0)='1' then y<="000";

end if;

end process;

end one;

结果:8个输入,3个输出,所有输入信号按优先顺序排队,实验中I7的优先级最高,I6次之,依此类推,I0最低。

实验结果分析

实验的开始,总是有点小问题,因为编写的程序有一点小小的不同,该程序就无法执行,另外,下载板的数据连接线也有些问题,无法将编成的程序下载进下载板。一直以为是自己编写的程序有问题,于是纠结几节课,颇为落后他人。

I7~I0的输入段用开关控制其对应的二进制,是1或者0,当I7为1的时候,无论其他的七个输入端是什么状态,显示数码管的由I7决定。当I7=0时,而此时I6为1的时候,无论I5`I0的输入是什么状态,都改变不了此时的显示数码管状态,依此下去,按优先级的高低进行着。

实验收获和体验

能够从多次失败的结果总结出经验,编程的时候应该细心谨慎,很多课堂上听不懂的东西,通过自己动手,可以有自己理清思路,一点点的将不懂得的知识补全。同时,团队合作是个必不可少的重要因素,不仅将来在社会上发挥着很大作用,还能通过分工合作,调动组员的积极性,相互讨论那些出错的地方应该怎样去做。更加熟悉的对其软件的操作,减少一些细节的出错率,此次实验,由于下载的数据线存在一些问题导致下载不成功,能让我们知道硬件本身的出错地方有哪些,更好的学习电子设计自动化这门科目。

相关热词搜索: 实验报告 可编程 实验 报告 可编程实验报告

版权所有:杨帆范文网 2010-2024 未经授权禁止复制或建立镜像[杨帆范文网]所有资源完全免费共享

Powered by 杨帆范文网 © All Rights Reserved.。鲁ICP备16030136号